Show simple item record

dc.contributor.authorSadeghian, Masoud
dc.contributor.authorStine, James E.
dc.contributor.authorWalters, E. George, III
dc.date.accessioned2019-08-22T17:50:59Z
dc.date.available2019-08-22T17:50:59Z
dc.date.issued2016-04-08
dc.identifieroksd_sadeghian_optimizedlinea_2016
dc.identifier.citationSadeghian, M., Stine, J. E., & Walters, E. G., III. (2016). Optimized linear, quadratic and cubic interpolators for elementary function hardware implementations. Electronics, 5(2). https://doi.org/10.3390/electronics5020017
dc.identifier.urihttps://hdl.handle.net/11244/321255
dc.description.abstractThis paper presents a method for designing linear, quadratic and cubic interpolators that compute elementary functions using truncated multipliers, squarers and cubers. Initial coefficient values are obtained using a Chebyshev series approximation. A direct search algorithm is then used to optimize the quantized coefficient values to meet a user-specified error constraint. The algorithm minimizes coefficient lengths to reduce lookup table requirements, maximizes the number of truncated columns to reduce the area, delay and power of the arithmetic units, and minimizes the maximum absolute error of the interpolator output. The method can be used to design interpolators to approximate any function to a user-specified accuracy, up to and beyond 53-bits of precision (e.g., IEEE double precision significand). Linear, quadratic and cubic interpolator designs that approximate reciprocal, square root, reciprocal square root and sine are presented and analyzed. Area, delay and power estimates are given for 16, 24 and 32-bit interpolators that compute the reciprocal function, targeting a 65 nm CMOS technology from IBM. Results indicate the proposed method uses smaller arithmetic units and has reduced lookup table sizes compared to previously proposed methods. The method can be used to optimize coefficients in other systems while accounting for coefficient quantization as well as truncation and rounding effects of multiple arithmetic units.
dc.formatapplication/pdf
dc.languageen_US
dc.publisherMDPI
dc.rightsThis material has been previously published. In the Oklahoma State University Library's institutional repository this version is made available through the open access principles and the terms of agreement/consent between the author(s) and the publisher. The permission policy on the use, reproduction or distribution of the material falls under fair use for educational, scholarship, and research purposes. Contact Digital Resources and Discovery Services at lib-dls@okstate.edu or 405-744-9161 for further information.
dc.titleOptimized linear, quadratic and cubic interpolators for elementary function hardware implementations
osu.filenameoksd_sadeghian_optimizedlinea_2016.pdf
dc.description.peerreviewPeer reviewed
dc.identifier.doi10.3390/electronics5020017
dc.description.departmentElectrical and Computer Engineering
dc.type.genreArticle
dc.type.materialText
dc.subject.keywordselementary functions
dc.subject.keywordsinterpolators
dc.subject.keywordstable-driven methods


Files in this item

Thumbnail

This item appears in the following Collection(s)

Show simple item record